FPGA的数字时钟设计VHDL语言编写源码下载

时钟功能:完成正确的分钟/小时计数和显示,由于数码管数量限制,led提示秒数;闹钟定时:设置一组闹钟,当需要关闭它时,利用二极管发出的光代替扬声器发出的声音;时钟校准:分/钟可分别调整;时响铃:在钟点前10秒发出提示音,采用二极管发光代替扬声器发声。

更多:FPGA
其他资料

数字通信同步技术的MATLAB与FPGA实现 AlteraVerilog版 PDF

上传者:不问归期

《Altera FPGA伴你玩转USB3.0与LVDS》扫描版。

上传者:马儿跑2020

[典型密码算法FPGA实现][杨亚涛,李子臣]

上传者:nan0219

FPGA高手设计实战真经100则高清PDF电子书下载

上传者:童话镇12138

FPGA之道——FPGA经典书籍-通俗易懂

上传者:Gavinlv

上传者:mingyuexin

学习使我快乐~

关于我们:

【硬氪】专注于服务广大硬件工程师、极客、科技发烧友,让知识获取更加简单高效是我们一直所追求的!

声明:

本站所有资料均来自互联网、以及网友提供,仅供学习和研究使用,无任何商业目的,版权归原作如有侵权请联系 QQ: 270919021,本站马上更正