基于FPGA实现AD精度转换的verilog代码

使用QuatrusII软件编写verilog的AD转换代码,使用USB blaster将代码下载到FPGA开发板中,外部连接10MHz信号源,将模拟信号转换成数字信号

其他资料

AD超级库 (pcblib+原理图lib)

上传者:122339945

XH2.54封装(三维PCB封装库)AD用PCB封装库.zip

上传者:SORYU

STM32全系列芯片AD封装及元件库

上传者:19454588130

数字通信同步技术的MATLAB与FPGA实现 AlteraVerilog版 PDF

上传者:不问归期

源创客Altium Designer 3D元件PCB封装库

上传者:yixiudz

上传者:15201036034

学习使我快乐~

关于我们:

【硬氪】专注于服务广大硬件工程师、极客、科技发烧友,让知识获取更加简单高效是我们一直所追求的!

声明:

本站所有资料均来自互联网、以及网友提供,仅供学习和研究使用,无任何商业目的,版权归原作如有侵权请联系 QQ: 270919021,本站马上更正