AURORA核的使用及端口说明_数据手册PDF

Aurora IP核是Xilinx公司在Aurora协议和高速串行收发火箭的基础上开发的核心。核心嵌入在Rocket I/O模块中,提供了一个简单的用户界面,极大地方便了信号的可操作性。Rocket I/O中复杂的控制结构可以通过IP core用户界面进行改变。Aurora IP核心主要包括本地流控制、用户流控制、用户数据接口、时钟输入和时钟校正模块、高速串行收发模块和状态信息控制模块[7-8]。版权声明:本文为CSDN博主「树桥上多情的kevin」的原创文章

更多:数据手册
其他资料

KP3110中文规格书_数据手册PDF

上传者:qsunxueming

Sony IMX327 datasheet_数据手册_规格书

上传者:dorngde

IMX307芯片手册、pdf原理图和参考PCB.rar

上传者:元亮学长

Rockchip RK809 Datasheet V1.4 20190618_数据手册_规格书

上传者:dorngde

MPC574XG AUTOSAR MCAL EBtresosStudio配置手册包含代码和例子

上传者:gqlong

上传者:nan0219

学习使我快乐~

关于我们:

【硬氪】专注于服务广大硬件工程师、极客、科技发烧友,让知识获取更加简单高效是我们一直所追求的!

声明:

本站所有资料均来自互联网、以及网友提供,仅供学习和研究使用,无任何商业目的,版权归原作如有侵权请联系 QQ: 270919021,本站马上更正