完整版 VHDL设计数字电子时钟

有完整的代码,也有设计好的完整的程序工程, 拿到手后可以直接在Quartus2上运行,还附有设计报告,包含连接图和仿真图!

上传者:无名001

学习使我快乐~

关于我们:

【硬氪】专注于服务广大硬件工程师、极客、科技发烧友,让知识获取更加简单高效是我们一直所追求的!

声明:

本站所有资料均来自互联网、以及网友提供,仅供学习和研究使用,无任何商业目的,版权归原作如有侵权请联系 QQ: 270919021,本站马上更正