十进制计数器vhdl

查看文章 VHDL十进制计数器2009-07-14 16:28library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; entity count10 is port (clk:in std_logic; f:buffer integer range 0 to 15; cout:out std_logic); end; architecture aa of count10 is begin proce

上传者:sdgghhh

学习使我快乐~

关于我们:

【硬氪】专注于服务广大硬件工程师、极客、科技发烧友,让知识获取更加简单高效是我们一直所追求的!

声明:

本站所有资料均来自互联网、以及网友提供,仅供学习和研究使用,无任何商业目的,版权归原作如有侵权请联系 QQ: 270919021,本站马上更正